Информация о статье журнала "Информатика"
Реферат
Полный текст статьи
Сравнение схемных реализаций VHDL-моделей, использующих частичную определенность булевых функций' Бибило П. Н. 1

  1. Объединенный институт проблем информатики Минск, Сурганова, 6

УДК: 519.7

Статья поступила: 22.11.2005

Реферат:

Предлагаются модели не полностью определенных (частичных) булевых функций и систем таких функций. Показывается, что использование моделей частичных функций позволяет получать в системе синтеза схем LeonardoSpectrum более простые логические схемы по сравнению с VHDL-моделями, базирующимися на системах полностью определенных функций.